Lade Inhalt...

Zuverlässigkeitsvorhersage für elektronische Komponenten unter mechanischer Belastung

Modelle, Standards, Vergleich, Softwaretools

©2008 Wissenschaftliche Studie 137 Seiten

Zusammenfassung

Inhaltsangabe:Einleitung:
Elektronische Geräte sind in unserem Leben allgegenwärtig. Dass diese Systeme eine Erleichterung darstellen, fällt besonders dann auf, wenn sie nicht mehr funktionieren. Meist ist solch ein Ausfall aber nicht dem gesamten Gerät zuzuschreiben, sondern er beschränkt sich auf ein Element, welches seine Funktion nicht mehr erfüllt; sei es ein durchgeschlagener Kondensator oder eine Bus-Verbindung, die keine elektrische Leitfähigkeit mehr besitzt. Die Ursachen für solche Ausfälle sind vielseitig: Mangelnde Qualitätskontrollen bei der Fertigung, Fehlbedienung durch den Benutzer, Überbelastung, hohe Luftfeuchte oder mechanische Belastung können die Lebensdauer einer Komponente beeinflussen.
Die vorliegende Arbeit befasst sich mit der Zuverlässigkeitsvorhersage elektronischer Komponenten. Es sollen Verfahren vorgestellt werden, die beanspruchen, eine Vielzahl von möglichen Umweltbedingungen und deren Einfluss auf die Komponenten- und Systemzuverlässigkeit zu quantifizieren. Besondere Aufmerksamkeit gilt der Berücksichtigung mechanischer Belastungen, die z.B. beim Start einer Rakete auftreten.
Als wichtige Grundlage gehen Zuverlässigkeitsvorhersagen in die in Kapitel 2 beschriebenen technischen Risikoanalysen ein, die Gefährdungen und Risiken minimieren sollen. Hier dienen Ausfallwahrscheinlichkeiten zur Quantifizierung der Sicherheit und Zuverlässigkeit von Hardware.
Die mathematische Definition der in Kapitel 2 erwähnten Ausfallwahrscheinlichkeit und der Ausfallrate wird neben anderen, für das Verständnis notwendigen Grundlagen, in Kapitel 3 erläutert. Dazu werden für die Beschreibung der Ausfallrate typische Verteilungen aufgezeigt.
In Kapitel 4 wird die Exponentialverteilung, eine in Kapitel 3 vorgestellte Verteilung, als vereinfachende Modellannahme eingeführt. Sie wird von allen Standards zur Beschreibung der Ausfallrate angenommen. Zudem soll geklärt werden, wie die Ausfallrate und diverse Einflussfaktoren aus einer Sammlung von Feld- oder Testdaten gewonnen werden können.
Die in Kapitel 3 und Kapitel 4 beschriebenen Grundlagen sind nötig, um die in Kapitel 5 beschriebenen klassischen Standards deuten und interpretieren zu können. Hier sollen multiplikative Standards wie MIL-HDBK-217, SAE (PREL), Telcordia (SR-332), CNET (RDF2000), BT (HRD5) und Italtel (IRPH) vorgestellt und deren Aufbau detailliert dargelegt werden. Insbesondere wird beschrieben, wie mechanische Belastung in multiplikativen Standards und diskreten […]

Leseprobe

Inhaltsverzeichnis


Daniel Glose
Zuverlässigkeitsvorhersage für elektronische Komponenten unter mechanischer
Belastung
Modelle, Standards, Vergleich, Softwaretools
ISBN: 978-3-8366-2665-1
Herstellung: Diplomica® Verlag GmbH, Hamburg, 2009
Zugl. Fachhochschule Kempten, Kempten, Deutschland, Fachstudie, 2008
Dieses Werk ist urheberrechtlich geschützt. Die dadurch begründeten Rechte,
insbesondere die der Übersetzung, des Nachdrucks, des Vortrags, der Entnahme von
Abbildungen und Tabellen, der Funksendung, der Mikroverfilmung oder der
Vervielfältigung auf anderen Wegen und der Speicherung in Datenverarbeitungsanlagen,
bleiben, auch bei nur auszugsweiser Verwertung, vorbehalten. Eine Vervielfältigung
dieses Werkes oder von Teilen dieses Werkes ist auch im Einzelfall nur in den Grenzen
der gesetzlichen Bestimmungen des Urheberrechtsgesetzes der Bundesrepublik
Deutschland in der jeweils geltenden Fassung zulässig. Sie ist grundsätzlich
vergütungspflichtig. Zuwiderhandlungen unterliegen den Strafbestimmungen des
Urheberrechtes.
Die Wiedergabe von Gebrauchsnamen, Handelsnamen, Warenbezeichnungen usw. in
diesem Werk berechtigt auch ohne besondere Kennzeichnung nicht zu der Annahme,
dass solche Namen im Sinne der Warenzeichen- und Markenschutz-Gesetzgebung als frei
zu betrachten wären und daher von jedermann benutzt werden dürften.
Die Informationen in diesem Werk wurden mit Sorgfalt erarbeitet. Dennoch können
Fehler nicht vollständig ausgeschlossen werden und der Verlag, die Autoren oder
Übersetzer übernehmen keine juristische Verantwortung oder irgendeine Haftung für evtl.
verbliebene fehlerhafte Angaben und deren Folgen.
© Diplomica Verlag GmbH
http://www.diplomica.de, Hamburg 2009

Fraunhofer EMI
Diplomarbeit
3
Abstract
Reliability prediction of electronic components using failure rates is a vital substep
of analysis techniques for electronic hardware system reliability and safety
prediction. In the present work reliability prediction standards for electronic
components are reviewed.
With respect to overall system reliability, multiplicative models, that are used in MIL-
HDBK-217F, SAE (PREL), Telcordia (SR-332), CNET (RDF2000), Siemens (SN 29500)
or GJB/Z 299, are explained. They may be distinguished from additive models that
are used in PRISM, 217Plus and FIDES.
It is shown in detail how the standards model the influence of mechanical stress
on reliability. The implications of the different models are discussed. Most
standards do not define a single influence factor dedicated to mechanical stress
characterization. Extreme mechanical translational stress environments are not
covered by most modern standards.
All models used in standards are based on statistical analysis of experimental data,
mainly multiple regression analysis. Explanations of these regression analyses are
given by discussing various examples.
The standards are compared by calculating the failure rate for low, middle and
high mechanical stress of a single component. This comparison illustrates the
advantages and limitations of the standards, with respect to processing
mechanical stress information.
Some commonly used software tools are applied, namely Reliability Workbench
V10.1.1 (Isograph), Reliability Studio 2007 (RELEX), Toolkit Version 7 (ITEM), RAM-
Comander (A.L.D.) and System Reliability Version 1.2 (PRISM) are exposed. They
are calculating the reliability of a system by simultaneously using one or more of
the standards detailed in the text.

Fraunhofer EMI
Diplomarbeit
4
Abstract
Zuverlässigkeitsvorhersagen elektronischer Komponenten mittels Ausfallraten sind
ein wesentlicher Bestandteil von Analysemethoden zur Bestimmung der
Systemzuverlässigkeit und -sicherheit auf Hardwareebene. In dieser Arbeit werden
Standards zur Zuverlässigkeitsvorhersage elektronischer Komponenten dargestellt.
Hinsichtlich der Systemzuverlässigkeit werden multiplikative Modelle beschrieben,
die in den Standards MIL-HDBK-217F, SAE (PREL), Telcordia (SR-332), CNET
(RDF2000), Siemens (SN 29500) oder GJB/Z 299 zum Tragen kommen. Ebenso
werden additive Modelle dargestellt, die in den Standards PRISM, 217Plus und
FIDES Anwendung finden.
Es werden statistische Methoden aufgezeigt, die es ermöglichen, Parameter der
Zuverlässigkeitsmodelle zu ermitteln. Diese werden anhand von Beispielen mit
einfachen und multiplen Regressionsanalysen erklärt.
Es wird detailliert beleuchtet, wie die Standards aufgebaut sind und welche
Einflüsse sie berücksichtigen. Besonderes Augenmerk gilt dabei der
Parametrisierung und Modellierung mechanischer Belastung. Es werden sowohl
diskrete als auch funktionale Zusammenhänge dargelegt und diskutiert.
Die Standards werden anhand einer Beispielkomponente gegenüber gestellt und
der Aussagewert bezüglich schwacher, mittlerer und starker mechanischer
Belastung erörtert. Daraus resultieren Einsatzbereiche und -grenzen der
Standards, die in der Arbeit benannt werden.
Vorgestellt werden Softwareprogramme von Isograph (Reliability Workbench
V10.1.1), RELEX (Reliability Studio 2007), ITEM (Toolkit Version 7), A.L.D. (RAM-
Comander V7.0) und PRISM (System Reliability Version 1.2), die der
Zuverlässigkeitsberechnung dienen. Die Programme verwenden einen oder
mehrere der beschriebenen Standards zur Ermittlung der Komponenten- und
Systemzuverlässigkeit.

Fraunhofer EMI
Diplomarbeit
5
Inhalt
1
Einleitung
15
1.1
Hinführung
15
1.2
Ziele und Struktur
16
2
Hintergründe
17
2.1
Beispiel
18
2.2
Ausfallartenanalyse
19
2.2.1
Induktive Ausfallartenanalyse: FMEA, FMECA, ETA
19
2.2.2
Deduktive Ausfallartenanalyse: FTA
20
2.3
Systemzustandsanalyse
21
2.4
Ausfallratenanalyse
21
3
Mathematische Grundlagen
23
3.1
Statistische Beschreibung der Zuverlässigkeit
23
3.2
Verteilungsfunktionen
26
3.2.1
Exponentialverteilung
26
3.2.2
Weibullverteilung
26
3.2.3
Normalverteilung
27
3.2.4
Log-Normal-Verteilung
27
3.3
Von der Komponenten- zur Systemzuverlässigkeit
31
3.3.1
Serienschaltung
31
3.3.2
Parallelschaltung
32
3.3.3
Heiße, warme und kalte Redundanz
33
3.3.4
Weitere redundante Elementanordnungen
34
4
Die Komponentenausfallrate
36
4.1
Typische Modellannahmen
36
4.1.1
Die konstante Ausfallrate
37
4.1.2
Allgemeiner Modellaufbau
37
4.1.3
Darstellungsweisen der Ausfallrate
38
4.2
Gewinnung von Daten zur Zuverlässigkeitsmodellierung
39
4.2.1
Auswertung von Feld- oder Testdaten
39
4.2.2
Physikalisch motivierte Parametrisierung des
Ausfallverhaltens
40
4.2.3
Bestimmung der Variablen
40
4.2.4
Korrelationsanalysen
42
4.3
Einfache Regressionsanalysen
43
4.3.1
Qualitative Faktoren
43
4.3.2
Quantitative Faktoren
45
4.3.3
Anwendung der Korrelationsanalyse
46

Fraunhofer EMI
Diplomarbeit
6
4.3.4
Lineare Regressionsanalyse
47
4.3.5
Temperaturabhängigkeit
50
4.3.6
Arrhenius-Funktion zur Beschreibung der
Temperaturabhängigkeit
52
4.4
Multiple Regressionsanalyse
55
4.4.1
Anwendung auf multiplikative Ausfallratenmodelle
55
4.4.2
Anwendungsbeispiel
56
4.4.3
Auswertung des Beispiels
59
4.5
Anwendungsgebiete der Standards
60
5
Multiplikative Modelle
62
5.1
MIL-HDBK-217F (Notice 2)
63
5.1.1
Modellaufbau
63
5.1.2
Berücksichtigung mechanischer Belastung
66
5.2
SAE (PREL 5.0)
68
5.2.1
Modellaufbau
69
5.2.2
Berücksichtigung mechanischer Belastung
69
5.3
Telcordia (SR-332)
70
5.3.1
Modellaufbau
71
5.3.2
Berücksichtigung mechanischer Belastung
72
5.3.3
Kritikpunkte
72
5.4
CNET (RDF 2000/UTE C80-810/IEC 62380)
73
5.4.1
Entwicklungsgeschichte, Komponentengruppen,
Einflussgrößen
73
5.4.2
Modellaufbau
74
5.4.3
Diskussion und Kritikpunkte
75
5.5
BT (HRD5) und Italtel (IRPH)
76
5.6
Weitere Standards
77
6
Additive Modelle
79
6.1
217PLUS
80
6.1.1
Zur Entwicklungsgeschichte
80
6.1.2
Die Methodik
82
6.1.3
Das Komponentenmodell
85
6.1.4
Das Systemmodell
87
6.1.5
Berechnung der Vibrationsbelastung
89
6.1.6
Deutung und Interpretation des Einflusses mechanischer
Belastung
91
6.1.7
Kritikpunkte
92
6.2
FIDES
93
6.2.1
Hintergrund
93
6.2.2
Vorgehensweise
95
6.2.3
Physikalische Ausfallrate eines Bauteils
96
6.2.4
Die Berücksichtigung mechanischer Belastung
98
6.2.5
Kritikpunkte
99

Fraunhofer EMI
Diplomarbeit
7
7
Vergleich
101
7.1
Geschichtliche Hintergründe
101
7.2
Erfahrungsberichte zu modernen Standards
102
7.3
Vergleich anhand eines Beispiels
103
7.4
Software zur Zuverlässigkeitsvorhersage
106
7.5
Charakteristische Eigenschaften der beschriebenen
Standards
107
8
Zusammenfassung und Ausblick
109
8.1
Zusammenfassung und Schlussfolgerungen
109
8.2
Ausblick
111
9
Anhang
113
9.1
Methode I des Telcordia SR-332 Standards
113
9.2
Methode II des Telcordia SR-332 Standards
115
9.3
Methode III des Telcordia SR-332 Standards
116
9.4
Die Berechnung der Ausfallrate eines Mikroschaltkreises
nach RDF2000/IEC62380 (TR-62380, 2004)
117
9.5
Die Berechnung der Ausfallrate von bestückten
Leiterplatinen nach RDF2000/IEC62380 (TR-62380, 2004) 118
9.6
Auszüge aus dem Standard 217Plus
119
9.7
Auszüge aus dem Standard FIDES
121
9.8
Softwarelösungen
126

Fraunhofer EMI
Diplomarbeit
8
Tabellenverzeichnis
Tabelle 3.1: Wichtige mathematische Zusammenhänge zur
Zuverlässigkeitsberechnung (Meyna & Pauli, 2003).
25
Tabelle 4.1: Darstellungsweisen von Ausfallraten und deren Umrechnung
(British BT, 1990).
39
Tabelle 4.2: Auszug aus «Semiconductor Industry Association Quarterly
Report». Werte sind angegeben in PPM (parts per million) (Seidl & Garry, 1990). 45
Tabelle 4.3: Korellationsmatrix mit den Merkmalen
,
und
ln
.
46
Tabelle 4.4: Exponentielle Regressionsfunktion und Lernfaktor.
49
Tabelle 4.5: Fiktive Ausfallraten ( , )
i
j
k
t T
[PPM/Quartal]
Digital Bipolarer
Halbleiterelemente bezogen auf den Temperaturbereich
k
T
und das Quartal
j
t
. 51
Tabelle 4.6: Der Zusammenhang zwischen
j
- und
j
x
-Werten.
57
Tabelle 4.7: Messungen der Ausfallrate von Widerständen (Zhongsen Yan,
1992).
58
Tabelle 4.8: Die Lösungen der multiplen Regressionsanalyse (Zhongsen Yan,
1992).
59
Tabelle 5.1: Zeitliche Entwicklung des MIL-HDBK-217 Standards (Coppola,
1984; Michael G. Pecht, 1994).
63
Tabelle 5.2: Umweltfaktoren des MIL-HDBK-217 Standards (MIL-217, 1991).
66
Tabelle 5.3: Umweltfaktoren für bipolare Transistoren nach (MIL-217, 1991).
68
Tabelle 5.4. Die Umgebungsbedingungen des PREL 5.0 Standards und
spezifische Werte für Kondensatoren (Binroth et al., 1984).
70
Tabelle 5.5: Umweltfaktoren des Telcordia SR-332 Standards.
72
Tabelle 5.6: Vergleich der Umweltfaktoren der Standards Telcordia SR-332 und
MIL-HDBK-217F.
73
Tabelle 5.7: Mechanischer und klimatischer Umweltfaktor
E
des HRD5-
Standards (BT, 1990).
77
Tabelle 6.1: Standardswerte der Prozessfaktoren (RAIC, 2006, Table 2.4.1-1).
89
Tabelle 6.2: Die Stützstellen des in Abbildung 6.3 dargestellten Graphen.
91
Tabelle 6.3: Proportionalität des Faktors
Mechanical
(Belastungskategorien).
98
Tabelle 7.1: Übersicht über die Eigenschaften und Funktionen der
beschriebenen Standards (ECSS, 2006; IEEE-Guide, 2003).
108
Tabelle 9.1: Parameter für die Zuverlässigkeitsberechnung von Kondensatoren
nach 217Plus.
119
Tabelle 9.2: Standardwerte für typische Umwelt und Einsatzprofile nach
217Plus (RAIC, 2006).
120
Tabelle 9.3: Durch den FIDES-Standard abgedeckte Komponenten (FIDES,
2004).
121
Tabelle 9.4: Sensitivitätskoeffizienten von Komponenten bezüglich
Überbelastung (FIDES, 2004).
122
Tabelle 9.5:
placement
-Faktoren für Komponenten (FIDES, 2004).
122
Tabelle 9.6: Matrix zur Bestimmung des
application
-Faktors (FIDES, 2004).
123
Tabelle 9.7: Matrix zur Bestimmung des
ruggedizing
-Faktors (FIDES, 2004).
124
Tabelle 9.8: Bauteilspezifische Faktoren eines Keramik-Kondensators (FIDES,
2004).
125
Tabelle 9.9: Beschleunigungsfaktoren von Keramik-Kondensatoren (FIDES,
2004).
125

Fraunhofer EMI
Diplomarbeit
9
Abbildungsverzeichnis
Abbildung 1.1: Verteilung der Ausfälle von Air-Force Boardsystemen, die auf
Komponentenversagen zurückzuführen sind (Slenski, 2002 Figure 1).
15
Abbildung 2.1: Die gebräuchlichsten technischen Risiko- und
Zuverlässigkeitsanalysen (VDA, 1996).
17
Abbildung 2.2: Beispiel eines sicherheitskritischen Systems. Darstellungsweise
nach DIN 19 227 Teil 2.
18
Abbildung 2.3: Darstellungsweise einer System-FMEA (VDA, 1996).
19
Abbildung 2.4: Darstellung einer Ereignisablaufanalyse nach (DIN-25419,
1985).
20
Abbildung 2.5: Darstellung einer Fehlerbaumanalyse nach DIN-25424 (1981). 20
Abbildung 2.6: Schematische Darstellung einer Systemzustandsanalyse als
Markow-Prozess (VDI-4008, 1999).
21
Abbildung 2.7: Top-down-Aufstellung des Zuverlässigkeits-Blockdiagramms
eines komplexen Systems (Birolini, 1997).
23
Abbildung 3.1.(b): Gängige Verteilungsfunktionen zur Zuverlässigkeitsanalyse
(Birolini, 1997).
30
Abbildung 3.2: Serienschaltung von n Widerständen im Blockdiagramm.
31
Abbildung 3.3: Parallelschaltung von n Widerständen im Blockdiagramm.
32
Abbildung 3.4: Ausfallrate eines Systems bestehend aus n Elementen mit
konstanten Einzelausfallraten
1
2
...
0,01
.
33
Abbildung 3.5: Beispiele für redundante Anordnungen von Systemfunktionen
bzw. Systemelementen in Funktions-Blockdiagrammen (Birolini, 1997).
35
Abbildung 4.1: Typischer Verlauf der Ausfallrate, dargestellt durch die Addition
zweier Weibull-Verteilungen.
37
Abbildung 4.2: Mögliche Abhängigkeiten zwischen den Merkmalen X und Y. 42
Abbildung 4.3: Ausfallraten eines Bauteils in Abhängigkeit von der Anzahl an
Funktionstests (Screening-Level).
44
Abbildung 4.4 Die logarithmierten Ausfallraten über der Zeit.
47
Abbildung 4.5 Verlauf der Lernkurven
( )
L
t
für MOS Digital, Linear und Digital
Bipolar Bauteile (Seidl & Garry, 1990).
49
Abbildung 4.6: Der zeitliche Verlauf, der nach den Lernfaktoren
Li
(t)
gewichteten Größen
i
(t).
50
Abbildung 4.7: Verlauf des Temperaturfaktors
( )
T
T
.
54
Abbildung 6.1: Verteilung der Fehlerursachen elektronischer Systeme (RAC,
2000).
79
Abbildung 6.2: Schematische Vorgehensweise zur Abschätzung der Ausfallrate
nach 217Plus (RAIC, 2006).
83
Abbildung 6.3: Grafische Darstellung eines Beispiels zur Spektralanalyse von
zufälligen Vibrationsbeschleunigungen (RAC, 2000, Figure J-1).
90
Abbildung 6.4: Funktionsverlauf des Umweltfaktors in Abhängigkeit von
Vibrationsbelastungen bei einer Temperaturdifferenz
0
T
.
92
Abbildung 6.5: Aufschlüsselung der ausgewerteten Komponenten nach
Ländern für FIDES (MBDA, 2007).
94
Abbildung 6.6: Die Einflussfaktoren im FIDES-Standard (FIDES, 2004).
95
Abbildung 6.7: Der Einfluss mechanischer Vibrationsbelastung auf die
Zuverlässigkeit am Beispiel drei verschiedener Komponenten nach FIDES.
99
Abbildung 7.1: Ausfallraten [FIT] eines Keramikkondensators in Abhängigkeit
mechanischer Belastung. Berechnet nach den Standards MIL-HDBK-217F, SR-
332, RDF2000, HRD5, 217Plus und FIDES.
105

Fraunhofer EMI
Diplomarbeit
10
Abbildung 9.1: Die Struktur des Systems «ABC Computer System (...)»,
dargestellt durch «Reliability Workbench V10.1.1» von Isograph.
126
Abbildung 9.2: Systemstruktur der ITEM «Toolkit Version 7»-Software.
126
Abbildung 9.3: Die Systemdarstellung (links) und ein Eingabefenster (rechts)
der A.L.D. «RAM-Commander V7.0»-Software.
127
Abbildung 9.4: Darstellung des Systems «Pentium Pro» durch die Software
«Reliability Studio 2007» von RELEX.
127
Abbildung 9.5: Auf der linken Seite des Fensters der «PRISM V1.2»-Software
befindet sich die Systemdarstellung.
128
Abbildung 9.6: Ein Eingabefenster der PRISM V1.2-Software zur
Parameterfestlegung auf Komponentenebene.
128
Abbildung 9.7: Ausfallraten eines Systems in Abhängigkeit von
Umweltbedingungen nach MIL-HDBK-217F.
129
Abbildung 9.8: Ausfallraten verschiedener Systemkomponenten bei der
Umgebungsbedingung «AIF» (Airborne Inhabited Fighter).
130
Abbildung 9.9: Pareto-Darstellung aller Systemkomponenten mit Hilfe der
Software «RAM-Commander V7.0» von A.L.D..
130
Abbildung 9.10: Die Abhängigkeit der Ausfallrate verschiedener Untersysteme
von den Umgebungsbedingungen Ground Benign, Ground Fixed und
Ground Mobile.
131

Fraunhofer EMI
Diplomarbeit
11
Glossar
Begriff
(englischer
Terminus)
Definition
Quelle
Betrachtungs-
einheit (
item
under
consideration
)
«Jedes Teil, Bauelement, Gerät, Teilsystem,
jede Funktionseinheit, jedes Betriebsmittel
oder System, das für sich allein betrachtet
werden kann.»
(DIN-31051,
2003)
Element
(
element
)
Unterste Betrachtungseinheit, die für
Zuverlässigkeitsuntersuchungen als
unteilbar aufgefasst wird.
(DIN-40042,
1970)
System (
system
) «Menge von Elementen, die nach einem
Entwurf in gegenseitiger Beziehung stehen.
Ein Element eines Systems kann zugleich ein
anderes System sein, genannt Teilsystem,
welches ein steuerndes oder ein
gesteuertes System sein und Hardware,
Software und menschliche Eingriffe
beinhalten kann.»
(DIN/IEC-
61508-4,
2006)
Modell (
model
)
«
Unter einem Modell wird ein ideell
vorgestelltes oder materiell realisiertes
System verstanden, das das
Forschungsobjekt widerspiegelt oder
reproduziert und es so zu vertreten mag,
dass uns sein Studium neue Informationen
über dieses Objekt vermittelt
»
(Stoff, 1969)
Methode
(
method
)
«[von griechisch méthodos «Weg», «Gang
einer Untersuchung»]
planmäßiges
Verfahren zur Erreichung eines bestimmten
Ziels; speziell Charakteristikum für
wissenschaftliches Vorgehen (z. B. die
deduktive, induktive, experimentelle
Methode)».
(Lexikon,
2007)
(Hardware)-
Ausfall (
failure
)
«Beendigung der Fähigkeit einer Funktions-
einheit, eine geforderte Funktion bereit-
zustellen oder Betrieb einer Funktionseinheit
in irgendeiner Art anders als gefordert.»
«Als Ausfall wird somit der Übergang vom
fehlerfreien in den fehlerhaften Zustand
bezeichnet. Der Ausfall ist also ein Ereignis.»
Anmerkung: Der Begriff ist auf physikalische
oder chemische Fehler der Hardware
ausgerichtet.
(DIN/IEC-
61508-4,
2006),
(Börcsök,
2004)

Fraunhofer EMI
Diplomarbeit
12
Zuverlässigkeit
(
dependability
)
(1)
Zusammenfassender Ausdruck zur
Beschreibung der Verfügbarkeit und
ihrer Einflussfaktoren Funktionsfähigkeit,
Instandhaltbarkeit und
Instandhaltungsbereitschaft. (en: «The
collective term used to describe the
availability performance and its
influencing factors: reliability
performance, maintainability
performance and maintainability
support performance.»)
(2)
«Gesamtheit der Eigenschaften einer
Betrachtungseinheit, welche sich auf
die Eignung zur Erfüllung gegebener
Erfordernisse unter gegebenen
Bedingungen für ein gegebenes
Zeitintervall beziehen.»
Anmerkung 1: Die Zuverlässigkeit ist ein
Unterbegriff der Qualität.
Anmerkung 2: Die Erfordernisse umfassen
die Verwendungsvorstellungen und sind
zum Zwecke der Quantifizierung der
Zuverlässigkeit in Forderungen bzgl. der Ein-
flüsse auf die Betrachtungseinheit (z.B. Be-
anspruchungen, Instandhaltungsmaß-
nahmen usw.), der Merkmalswerte (Ausfall-
kriterien) und der zu betrachtenden Zeit-
dauer umzusetzen.
Anmerkung 3:
Reliabiltity
als Übersetzung
von Zuverlässigkeit deckt nur den Teil
Funktionsfähigkeit oder Überlebens-
wahrscheinlichkeit ab.
(IEC-60050-
191, 1990)
(DIN-40041,
1990)
MTTF
Mean Time To Failure
Mittelwert der ausfallfreien Arbeitszeit
.
(en:
«The expectation of the time to failure»).
(IEC-60050-
191, 1990)
MTBF
Mean Time Between Failure
Erwartungswert der Betriebsdauer
zwischen zwei aufeinanderfolgenden
Ausfällen (en: «The expectation of the
operating time between failure.»).
(IEC-60050-
191, 1990)
MTTR
Mean Time To Repair
Der Erwartungswert der Reparaturdauer.
(en: «The expectation of the time to
restoration»
).
(IEC-60050-
191, 1990)
Risiko (
risk
)
Das Risiko ist die erwartete Häufigkeit eines
zum Schaden führenden Ereignisses
multipliziert mit dem zu erwartendem
Schadensausmaß.
R H S
(VDE-0801,
1990-01)
Fehler (fault)
Eine «Nichterfüllung vorgegebener
Forderung durch einen Merkmalswert. Ein
(Börcsök,
2004)

Fraunhofer EMI
Diplomarbeit
13
Fehler ist also ein Zustand (z.B. auch ein
falscher logischer Zustand)».
Elektrisches
Bauelement,
Bauteil,
Komponente
(component)
Bezeichnet in der Elektrotechnik «einen
grundlegenden, als Einheit betrachtenden
Bestandteil einer elektrischen Schaltung
oder Baugruppe».
(Börcsök,
2004)
Produkt
(product)
Unter dem Begriff Produkt werden
«eindeutig beschriebene, lieferbare, aus
Hardware- und/oder Software-Anteilen
zusammengesetzte Geräte, Systeme,
Verfahren, Prozesse, Anlagen und
Dienstleistungen verstanden und im Sinne
dieser Richtlinie als abgegrenzte Einheit
(Betrachtungseinheit) aufgefasst».
(VDI-4003,
2007)
Felddaten
(field data)
Felddaten werden als «die während der
Nutzungsphase auftretenden Ausfälle und
die damit verbundenen Daten».
(Bertsche,
Marwitz, Ihle,
& Frank, 1989)

Fraunhofer EMI
Diplomarbeit
14
Häufig benutzte Symbole
A
Fläche
ASD
Spektrale Beschleunigungsdichte (acceleration spectral density)
AF
Beschleunigungsfaktor (acceleration factor)
C
Kapazität
DC
Verhältnis Betriebsdauer zu Gesamtlebensdauer (duty cycle)
( )
E t
Mittlere Betriebszeit des Systems
,
A
a
E E
Aktivierungsenergie
( )
F t
Ausfallwahrscheinlichkeit
( )
f t
Ausfall- oder Lebensdauerdichte
f
Frequenz
G
Beurteilungsfaktor
RMS
G
Effektivbeschleunigung von Vibrationsbelastungen
( )
h t
Ausfallrate
,
i j
Laufvariablen
k
Reaktionsgeschwindigkeit
,
e
Kor X Y
Korrelationskoeffizient der Merkmale X und Y
R
Allgemeine Gaskonstante
( )
S t
Überlebenswahrscheinlichkeit
T
Temperatur
t
Zeitpunkt
W
Gewichtungsfaktor
grades
W
Beurteilungsfaktor
x
Unabhängige Kovariable
y
Abhängige Variable
Merkmal Ausfallrate
Zufälliger Fehler, Zufallsvariable oder Störgröße
Zeitlich konstante Ausfallrate
G
Allgemeine oder natürliche Ausfallrate
Faktor zur Beurteilung eines Prozesses oder der Qualität
Anpassungsfaktor
Merkmal Zeit
2
,
C b
Regressionsfunktion mit den Parametern C und b

Fraunhofer EMI
Diplomarbeit
15
1
Einleitung
1.1
Hinführung
Elektronische Geräte sind in unserem Leben allgegenwärtig. Dass diese Systeme
eine Erleichterung darstellen, fällt besonders dann auf, wenn sie nicht mehr
funktionieren.
Meist ist solch ein Ausfall aber nicht dem gesamten Gerät zuzuschreiben, sondern
er beschränkt sich auf ein Element, welches seine Funktion nicht mehr erfüllt; sei
es ein durchgeschlagener Kondensator oder eine Bus-Verbindung, die keine
elektrische Leitfähigkeit mehr besitzt.
Die Ursachen für solche Ausfälle sind vielseitig: Mangelnde Qualitätskontrollen bei
der Fertigung, Fehlbedienung durch den Benutzer, Überbelastung, hohe
Luftfeuchte oder mechanische Belastung können die Lebensdauer einer
Komponente beeinflussen. Wie Abbildung 1.1 zeigt, ist die hardwareabhängige
Ausfallhäufigkeit eines Systems auch abhängig von den eingebauten
Komponentenarten.
Abbildung 1.1: Verteilung der Ausfälle von Air-Force Boardsystemen, die auf Komponentenversagen
zurückzuführen sind (Slenski, 2002 Figure 1).
Lampen < 1%
Kraftstoffsensoren
1%
Widerstände 1%
Batterien 1%
Kondensatoren
1%
Motoren 2%
Mikroschaltkreise
2%
Schalter 3%
Transformatoren
6%
Relais
7%
Avionik-Sensoren
7%
Elektrische
Verschaltungen
8%
Stecker 14%
Generatoren 18%
Stromleiter 29%

Fraunhofer EMI
Diplomarbeit
16
1.2
Ziele und Struktur
Die vorliegende Arbeit befasst sich mit der Zuverlässigkeitsvorhersage
elektronischer Komponenten. Es sollen Verfahren vorgestellt werden, die
beanspruchen, eine Vielzahl von möglichen Umweltbedingungen und deren
Einfluss auf die Komponenten- und Systemzuverlässigkeit zu quantifizieren.
Besondere Aufmerksamkeit gilt der Berücksichtigung mechanischer Belastungen,
die z.B. beim Start einer Rakete auftreten.
Als wichtige Grundlage gehen Zuverlässigkeitsvorhersagen in die in Kapitel 2
beschriebenen technischen Risikoanalysen ein, die Gefährdungen und Risiken
minimieren sollen. Hier dienen Ausfallwahrscheinlichkeiten zur Quantifizierung
der Sicherheit und Zuverlässigkeit von Hardware.
Die mathematische Definition der in Kapitel 2 erwähnten Ausfall-
wahrscheinlichkeit und der Ausfallrate wird neben anderen, für das Verständnis
notwendigen Grundlagen, in Kapitel 3 erläutert. Dazu werden für die
Beschreibung der Ausfallrate typische Verteilungen aufgezeigt.
In Kapitel 4 wird die Exponentialverteilung, eine in Kapitel 3 vorgestellte
Verteilung, als vereinfachende Modellannahme eingeführt. Sie wird von allen
Standards zur Beschreibung der Ausfallrate angenommen. Zudem soll geklärt
werden, wie die Ausfallrate und diverse Einflussfaktoren aus einer Sammlung von
Feld- oder Testdaten gewonnen werden können.
Die in Kapitel 3 und Kapitel 4 beschriebenen Grundlagen sind nötig, um die in
Kapitel 5 beschriebenen klassischen Standards deuten und interpretieren zu
können. Hier sollen multiplikative Standards wie MIL-HDBK-217, SAE (PREL),
Telcordia (SR-332), CNET (RDF2000), BT (HRD5) und Italtel (IRPH) vorgestellt und
deren Aufbau detailliert dargelegt werden. Insbesondere wird beschrieben, wie
mechanische Belastung in multiplikativen Standards und diskreten Faktoren
berücksichtigt wird.
Kapitel 6 beschreibt drei moderne Standards, namentlich PRISM, 217PLUS und
FIDES. Sie unterscheiden sich vor allem durch den additiven Aufbau gegenüber
den in Kapitel 5 dargestellten multiplikativen Standards. Bei den Standards PRISM,
217PLUS und FIDES wird mechanische Belastung in Form stetiger Funktionen
modelliert. Diese Funktionen werden aus den Modellen extrahiert und diskutiert.
In Kapitel 7 werden die in Kapitel 5 und Kapitel 6 beschriebenen klassischen und
modernen Standards miteinander verglichen. Dazu dienen Fachartikel und ein
exemplarischer Vergleich eines Keramikkondensators, der unter verschiedenen
mechanischen Einflüssen steht.
Abgerundet wird die Arbeit durch Kapitel 8 mit einer Zusammenfassung der
Arbeit und einem Ausblick auf zukünftige mögliche Arbeiten.

Fraunhofer EMI
Diplomarbeit
17
2
Hintergründe
Um das Thema greifbarer zu machen, ist es sinnvoll, Zuverlässigkeitsvorhersagen
elektronischer Komponenten in einem erweiterten Kontext einzuordnen, um
anschließend das Thema umso mehr auf einige wenige Fragestellungen zu
beschränken.
Fundamentale Bedeutung haben Zuverlässigkeitsvorhersagen im Rahmen von
technischen Risikoanalysen (VDA, 1996). Quantitative Aussagen über die
Ausfallwahrscheinlichkeit bzw. Zuverlässigkeit dienen als Grundlage zur
Berechnung des Risikos. Abbildung 2.1 fasst in der Industrie gängige
Analyseformen zusammen.
Abbildung 2.1: Die gebräuchlichsten technischen Risiko- und Zuverlässigkeitsanalysen (VDA, 1996).
Risikoanalyse
Ausfallarten-
analyse
Ausfallraten-
analyse
Systemzustands-
analyse
Induktive
Analyse
Deduktive
Analyse
Parts-Count-
Methode
Parts-Stress-
Methode
Markow-
Verfahren
Fehlerbaum-
analyse
Ereignisablauf-
analyse
FMECA
System-FMEA

Fraunhofer EMI
Diplomarbeit
18
Anzumerken ist dabei, dass die Verwendung einer Analyseform eine andere nicht
ausschließt. So bilden Ausfallratenanalysen beispielsweise einen integralen
Bestandteil von Fehlerbaumanalysen zur quantitativen Berechnung der
Eintrittswahrscheinlichkeit eines unerwünschten Ereignisses.
In Abschnitt 2.1 wird zunächst ein Beispiel für ein System in der Verfahrenstechnik
gegeben. Es beinhaltet mechanische, hydraulische und elektrische Elemente.
Entsprechend Abbildung 2.1 wird in Abschnitt 2.2 die Ausfallartenanalyse, in
Abschnitt 2.3 die Systemzustandsanalyse und in Abschnitt 2.4 die
Ausfallratenanalyse vorgestellt.
Es zeigt sich, dass in allen Fällen auch auf das Ausfallverhalten der Komponenten,
insbesondere der elektronischen Bauteile als unterste Betrachtungseinheit
eingegangen wird.
2.1
Beispiel
Das System in Abbildung 2.2 soll als Beispiel dienen, um die Vorgehensweisen der
verschiedenen Analyseformen zu beschreiben.
Abbildung 2.2: Beispiel eines sicherheitskritischen Systems. Darstellungsweise nach DIN 19 227 Teil
2.
Die Funktion dieses Systems soll sein, eine Flüssigkeit mit Hilfe von Brennstoff (z.B.
Gas) soweit zu erhitzen, dass Dampf entsteht und dieser abgeführt werden kann.
Dabei kann der Prozess zur Dampferzeugung auch als Regelkreis verstanden
werden, bei dem der Brennstoff, durch die Absperrarmatur (1) geregelt, zum
Brenner (2) fließt und durch Flammenbildung die Flüssigkeit im Dampfkessel (3)
erhitzt. Als Regelgröße dient das Signal des Drucksensors (4), welcher den
erzeugten Dampfdruck P an den Regler (5) weiterleitet. Durch dieses Signal und
mit Hilfe zusätzlicher Energie (z.B. elektrische) ergibt sich so eine Stellgröße für den
Absperrmotor (6).
Brennstoff (Gas)
M
P
Hilfsenergie
(elektrisch)
Flüssigkeit
Dampf
Stellgröße
Regelgröße
(1)
(2)
(3)
(4)
(5)
(6)
Dampf
(bei Überdruck)
(7)
(7)

Fraunhofer EMI
Diplomarbeit
19
Um das Risiko einer Kesselexplosion durch Überschreiten des Druckes P
Krit
zu
minimieren, seien zwei Absperrarmaturen (7) mit Sicherheitsfunktion eingebaut.
Ab einem kritischem Druck P
Krit
öffnen sich automatisch die Armaturen und
senken somit den Systemdruck. Bei dieser zweifachen (redundanten) Anordnung
spricht man auch von «öffnender Redundanz» (ISO-3511-3, 1984).
2.2
Ausfallartenanalyse
Die Ausfallartenanalyse als ein Teil der Risikoanalyse lässt sich weiter untergliedern
in induktive und in deduktive Analysen. Erstere befassen sich mit der Frage:
«Welche Fehler können auftreten und welche Konsequenzen haben diese?»,
wohingegen die deduktive Vorgehensweise sich mit unerwünschten Fehlern
auseinandersetzt und nach den möglichen Ursachen fragt (VDA, 1996).
2.2.1
Induktive Ausfallartenanalyse: FMEA, FMECA, ETA
FMEA- (Failure Mode and Effect Analysis, zu Deutsch: Fehler-Möglichkeits- und
Einfluss-Analyse) sind «systematische Untersuchungen der möglichen Ausfälle
bezüglich ihrer Auswirkung auf die Funktionstüchtigkeit und Sicherheit des
betreffenden Elements und der von diesem beeinflussten Elemente» (Birolini,
1991).
Die System-FMEA dient dazu, das zu analysierende System in geeignete
Betrachtungseinheiten zu unterteilen. «Unter Berücksichtigung der jeweiligen
Betriebsparameter werden hierfür alle denkbaren Fehlerarten und ­ursachen
ermittelt und deren Auswirkungen auf die jeweils übergeordneten
Betrachtungseinheiten beschrieben. Dies ermöglicht die Festlegung
wirkungsvoller Verbesserungs- und Kompensationsmaßnahmen» (VDI-4003,
2007). Die Darstellungsweise einer FME-Analyse wird in Abbildung 2.3 illustriert.
Folgefehler
Fehler
Fehler-
ursache
Kessel
explodiert.
Druck steigt über
maximale
Zulässigkeit.
Keine
automatische
Regelfunktion
Folgefehler
Fehler
Fehler-
ursache
Druck steigt über
maximale
Zulässigkeit.
Keine
automatische
Regelfunktion
Regler
funktioniert nicht
Folgefehler
Fehler
Fehler-
ursache
Keine
automatische
Regelfunktion
Regler
funktioniert nicht
Ausfall einer
Komponente
Abbildung 2.3: Darstellungsweise einer System-FMEA (VDA, 1996).

Fraunhofer EMI
Diplomarbeit
20
FMECA (Failure Mode and Criticality Analysis) als Variation der FMEA erweitert die
FMEA um die Bewertung von kritischen Zustände (VDI-4003, 2007).
Bei der Ereignisablaufanalyse (Event Tree analysis, ETA, Abbildung 2.4) geht man
von einem Ereignis aus, welches das System beeinflussen kann, und untersucht
die Folgen dieser Einwirkung. Ziel ist es, alle möglichen Ereignisse mit ihren
Folgen auf das System in einem Ereignisablaufdiagramm darzustellen:
Abbildung 2.4: Darstellung einer Ereignisablaufanalyse nach (DIN-25419, 1985).
2.2.2
Deduktive Ausfallartenanalyse: FTA
Die Fehlerbaumanalyse (Fault Tree Analysis, FTA, Abbildung 2.5) ist eine deduktive
Herangehensweise, welche eine systematische Untersuchung der Auswirkung
von Ausfällen und Fehlern erlaubt. Dabei geht man vom unerwünschten Ereignis
aus und setzt es u.a. mit UND- bzw. ODER-Verknüpfungen interner Ausfälle oder
auch externer Einflüsse zusammen.
Abbildung 2.5: Darstellung einer Fehlerbaumanalyse nach DIN-25424 (1981).
Fehlerbaumanalysen haben gegenüber induktiven Vorgehensweisen den Vorteil,
dass sie auch Situationen berücksichtigen, in welchen das unerwünschte Ereignis
durch das Zusammenwirken mehrerer Ausfälle oder Fehler zustande kommt. Sie
sind aber weniger systematisch und geben weniger Gewähr, dass alle Ausfall-
bzw. Fehlerarten berücksichtigt worden sind (VDI-4003, 2007).
Kessel-
explosion
Über-
druckventil 2
defekt
Regler
falsch
eingestellt
Regler
defekt
1
( )
t
2
( )
t
3
( )
t
4
( )
t
Ausfall bleibt
unentdeckt
5
( )
t
Über-
druckventil 1
defekt
ODER
UND
> 1
&
Druck zu
hoch
Überdruckventil 1
öffnet sich
Überdruckventil 1
defekt
Überdruckventil 2
öffnet sich
Überdruckventil 2
defekt
Druck sinkt
Druck sinkt
Kessel-
explosion
1
( )
t
2
( )
t
3
( )
t
4
( )
t

Fraunhofer EMI
Diplomarbeit
21
Unter Verwendung der Ereigniswahrscheinlichkeiten der Ausfälle oder Einflüsse,
dargestellt durch die Verzweigungswahrscheinlichkeiten
( )
i
t
, kann bei der
Ereignisablaufanalyse wie der Fehlerbaumanalyse eine Eintrittswahrscheinlichkeit
des unerwünschten Fehlers berechnet werden (DIN-25419, 1985; DIN-25424,
1981).
2.3
Systemzustandsanalyse
Die Systemzustandsanalyse wird üblicherweise als Markow Modell veran-
schaulicht, dessen dargestellter Prozess zu der Gruppe der so genannten
regenerativen stochastischen Prozesse gehört (VDI-4008, 1999). Dabei werden in
einem Diagramm die Zustände von Komponenten, die entweder ausgefallen
oder in Betrieb sind, als Kreise dargestellt. Der Wechsel zwischen zwei Zuständen
wird durch einen Übergangsbogen, der mit einem Richtungspfeil versehen ist,
repräsentiert. Durch das Markow-Modell lässt sich die gesamte Konfiguration eines
fehlertolerierenden Systems in einer einzigen Grafik darstellen (siehe Abbildung
2.6).
Abbildung 2.6: Schematische Darstellung einer Systemzustandsanalyse als Markow-Prozess (VDI-
4008, 1999).
Die quantitative Wahrscheinlichkeit eines bestimmten Zustands errechnet sich aus
den Übergangsraten vom einen zum anderen Zustand. Die Übergangsraten
bzw.
können konstant («Memory-Less»), aber auch zeitabhängig sein.
Der Vorteil dieser Darstellungsart ist, dass ebenso Zustände eines
funktionierenden Systems darstellbar sind. «Somit ist es auch möglich, durch eine
Addition der Wahrscheinlichkeiten dieser Zustände entweder die
Systemzuverlässigkeit (Reliability) oder die Systemverfügbarkeit (Availability) als eine
Funktion der Zeit zu berechnen» (Börcsök, 2004).
2.4
Ausfallratenanalyse
Die Ausfallratenanalyse (siehe Abbildung 2.7), auch vorausgesagte Zuverlässigkeit
oder Zuverlässigkeitsvorhersage genannt, errechnet die Systemzuverlässigkeit aus
System
OK
Regler-
ausfall
entdeckt
Reglerausfall
nicht
entdeckt
Manuelle
Steuerung
Ü-Ventil-
ausfall
entdeckt
Ü-Ventil-
ausfall nicht
entdeckt
Explosion
1
( )
t
2
( )t
3
( )t
4
( )t
5
( )
t
6
( )t
7
( )t
8
( )
t
1
( )
t
2
( )
t
3
( )
t

Fraunhofer EMI
Diplomarbeit
22
den Ausfallraten der einzelnen Elemente und deren Anordnung (Birolini, 1991).
Die mathematische Erklärung der Ausfallrate erfolgt in Kapitel 3.
Für die Betrachtungsweise ist es sinnvoll, das technische System schrittweise zuerst
in Anlagen, danach in Baugruppen und Bauteilen aufzugliedern, um letztendlich
an die Elementebene zu gelangen.
Die Ausfallrate gibt das Verhältnis aus der Ausfallanzahl im Intervall [t, t+dt] zur
Anzahl der Betrachtungseinheiten, die zur Zeit t noch nicht ausgefallen sind,
wieder. In den vorherrschenden Modellen wird die Ausfallrate als zeitlich nicht
veränderbar gesetzt, was die Berechnungen erheblich vereinfacht, siehe
Abschnitt 4.1.1.
Die Parts-Count-Methode verkürzt die Berechnung zusätzlich, indem sie die
Anordnung der Elemente als Serienschaltung betrachtet, siehe auch Abschnitt
3.3.1. Außerdem wird eine Unempfindlichkeit der Komponenten gegenüber
äußeren Einflüssen vorausgesetzt, d.h. es gibt für eine Komponente nur eine
konstante Ausfallrate. Das ermöglicht eine schnelle, aber grobe Abschätzung der
Systemausfallrate.
Im Gegensatz dazu werden bei der Parts-Stress-Methode Umwelteinflüsse und
Elementanordnung berücksichtigt. Dies erhöht den Rechenaufwand, bietet aber
in den meisten Fällen ein genaueres Ergebnis.
Die Ausfallrate eines neu entwickelten Bauteils kann letztgültig nur experimentell
oder durch Simulationen bestimmt werden. Dennoch gibt es auch andere
Methoden, wie beispielsweise das Abschätzen durch Erfahrungswerte oder der
Vergleich mit ähnlichen Bauteilen, siehe Kapitel 4.
Typische Ausfallraten für bekannte und in der Industrie oft verwendete Bauteile
sind in speziellen Handbüchern aufgeführt und stammen meist aus empirischen
Untersuchungen. Die wichtigsten Handbücher für elektronische und
elektromechanische Komponenten sind: IEC 61709, MIL-HDBK-217 und SN29500,
siehe Kapitel 5.

Fraunhofer EMI
Diplomarbeit
23
Abbildung 2.7: Top-down-Aufstellung des Zuverlässigkeits-Blockdiagramms eines komplexen Systems
(Birolini, 1997).
3
Mathematische Grundlagen
In diesem Kapitel sollen zuerst mathematische Grundlagen erklärt werden, die für
das Verständnis zur Beschreibung der Zuverlässigkeit nötig sind (Abschnitt 3.1).
Die Ausfall- und Überlebenswahrscheinlichkeit, die Ausfalldichte, die Ausfallrate
und der Erwartungswert werden mathematisch definiert.
Anschließend wird in Abschnitt 3.2 auf das zeitliche Verhalten der Zuverlässigkeit
eingegangen, indem gängige Formen der Ausfallrate beschrieben und diskutiert
sowie in Abbildung 3.1. dargestellt werden.
In Abschnitt 3.3 wird auf die Verschaltungsweise von Komponenten
eingegangen, die einen entscheidenden Einfluss auf die Systemzuverlässigkeit
haben kann. Dabei unterscheidet man hauptsächlich die serielle und parallele Art
der Verschaltung.
3.1
Statistische Beschreibung der Zuverlässigkeit
Üblicherweise wird die Zuverlässigkeit als Ausfallrate
( )
h t
ausgedrückt. Sie gibt an,
wie hoch die Wahrscheinlichkeit ist, dass eine Komponente, die bis zum Zeitpunkt
A
B
C
D
E
Anlage
Baugruppen
a
b
c
d
e
f
Elemente
E
1
E
2
E
3
E
4
E
5
System

Fraunhofer EMI
Diplomarbeit
24
t
funktioniert, im Zeitraum
,
t t dt
ausfällt. Sofern die Ausfallrate als konstant
angenommen wird, so ergibt sich der Erwartungswert als
1
( )
h t
und stellt somit
den zeitlichen Mittelwert bis zum Ausfall (Mean Time to Failure, MTTF) bzw. bis zur
nächsten Reparatur (Mean Time between Failures, MTBF) dar.
Die Ausfallrate ist nicht zu verwechseln mit der Ausfalldichte
( )
f t
. Diese gibt an,
wie hoch die Wahrscheinlichkeit ist, dass eine Komponente im Zeitraum
,
t t dt
ausfällt. Allerdings wird dabei nicht direkt berücksichtigt, ob die Komponente
bereits bis zum Zeitpunkt
t
ausgefallen ist oder nicht.
Die Ausfalldichte kann als Wahrscheinlichkeitsdichtefunktion (probability density
function) über
0,
aufgefasst werden. Damit stehen alle Wahrscheinlichkeits-
dichtefunktionen der mathematischen Wahrscheinlichkeitstheorie über
halbunendlichen Intervallen zur Verfügung, um Zuverlässigkeitsvorhersagen zu
bilden.
Will man nun wissen, wie hoch die Wahrscheinlichkeit ist, dass eine Komponente
bis zum Zeitpunkt
t
ausfällt, so lässt sich dies errechnen, indem man die
Ausfalldichten über das Zeitintervall von
0
t
bis
t
integriert,
0
( )
( )
t
F t
f t dt
.
Diese Wahrscheinlichkeit nennt man auch Ausfallwahrscheinlichkeit (failure
probability).
Die Überlebenswahrscheinlichkeit (survival probability) bildet das Gegenstück zur
Ausfallwahrscheinlichkeit. Sie gibt an, wie hoch die Wahrscheinlichkeit ist, dass
eine Komponente bis zum Zeitpunkt
t
überlebt und ist damit
( ) 1
( )
S t
F t
.
Man beobachtet allgemein, dass sich z.B. aus
( )
S t
die Verteilungen
( )
F t
,
( )
f t
und
( )
h t
generieren lassen. Das gilt mit sinnvollen mathematischen Forderungen
in analoger Weise für alle anderen Verteilungen ebenfalls.
Tabelle 3.1 gibt einen Überblick über die mathematischen Definitionen und
Zusammenhänge.

Fraunhofer EMI
Diplomarbeit
25
Tabelle 3.1: Wichtige mathematische Zusammenhänge zur Zuverlässigkeitsberechnung (Meyna &
Pauli, 2003).
Begriffe (englischer
Terminus)
Mathematische
Definition
Beschreibung
Überlebens-
wahrscheinlichkeit,
Funktionswahrschein-
lichkeit
(survival propability)
( )
S t
( ) Pr(
)
S t
T t
mit:
( )
( )
S u
S t
für
u t
,
(
0) 1
S t
und
lim ( ) 0
t
S t
t
dt
t
f
t
S
)
(
)
(
( )
S t
und
( )
F t
geben die
Wahrscheinlichkeit
(propability) an, mit der eine
Komponente zum Zeitpunkt t
noch funktioniert. Die
Variable T gibt den
tatsächlichen Ausfallzeitpunkt
wieder. Es gilt:
( ) 1
( )
F t
S t
Ausfallwahrscheinlichkeit
(failure probability)
( )
F t
( ) Pr(
)
F t
T t
mit:
( )
( )
F u
F t
für
u t
,
(
0) 0
F t
und
lim ( ) 1
t
F t
0
( )
( )
t
F t
f t dt
Ausfall- oder
Lebensdauerdichte,
Wahrscheinlichkeits-
dichte, Dichte
(event density)
( )
f t
)
(
)
(
t
F
dt
d
t
f
, mit:
0
)
(
t
f
für
0
t
,
0
)
(
t
f
für
0
t
und
0
1
)
( dt
t
f
)
(t
f
gibt die Höhe der
Wahrscheinlichkeit an, dass
eine Komponente im
Zeitintervall
dt
t,
ausfällt.
Die Funktion ist die zeitliche
Ableitung von
( )
F t
.
Ausfallrate
(hazard rate)
( )
h t
( )
( )
( )
( ) 1
( )
f t
f t
h t
S t
F t
Die Funktion
)
(t
h
ist das
Verhältnis aus Ausfalldichte
und Überlebenswahrschein-
lichkeit.
( )
h t
gilt als Maß für
die Ausfallempfindlichkeit
einer Komponente zum
Zeitpunkt
t
.
Mittlere Betriebszeit des
Systems
(average, expected
Value)
( )
E T
0
( )
( )
E T
S t dt
( )
E T
gibt den Erwartungs-
wert, die sog. «mittlere
Lebensdauer» wieder. Er
entspricht dem MTTF bzw.
MTBF-Wert eines Systems
oder einer Komponente

Fraunhofer EMI
Diplomarbeit
26
3.2
Verteilungsfunktionen
Durch mathematische Überlegungen und Vergleich mit empirischen Daten,
haben sich einige in Abbildung 3.1. mathematisch beschriebenen und
dargestellten Verteilungsfunktionen besonders bewährt. Sie werden nachfolgend
im Einzelnen vorgestellt. Es zeigt sich anhand von Abbildung 3.1., dass für die
meisten der Verteilungen eine der Darstellungen nach Abschnitt 3.1 besonders
gut geeignet ist, z.B. die Exponentialfunktion für die Ausfallrate.
3.2.1
Exponentialverteilung
Die Exponentialfunktion als Überlebenswahrscheinlichkeit liefert eine konstante
Ausfallrate
)
(t
h
. Diese Eigenschaft erleichtert Berechnungen zur
Zuverlässigkeit (siehe Abschnitt 3.3) durch folgende Punkte (Birolini, 1997):
-
Gedächtnislosigkeit: Eine momentan funktionierende Komponente «weiß»
nicht, wie lange sie schon gearbeitet hat. Das bedeutet, dass sie im nächsten
Zeitintervall
t
mit gleicher Wahrscheinlichkeit ausfallen kann wie zuvor. Die
Ausfallwahrscheinlichkeit ist konstant und beträgt:
t
.
-
Konstante Ausfallrate auf Systemebene: Die Ausfallrate von Systemen mit
seriell angeordneten Elementen errechnet sich aus der Summe der
Einzelausfallraten. Sie ist demnach auch konstant. (siehe Abschnitt 3.3.1)
Seit den Studien (Davis, 1952), (Epstien & Sobel, 1953) und (Epstien & Sobel,
1954) über die exponentielle Verteilung der Überlebenswahrscheinlichkeiten von
elektronischen Bauteilen ist diese Art der Verteilung am weitesten verbreitet. Doch
selbst aktuelle Studien (Murphy, Carter, & Brown, 2002) zeigen, dass die
Exponentialverteilung für eine Vielzahl von elektronischen Systemen wie Radar-,
Flugzeug-, Raumfahrt-, Kommunikations- oder Computersystemen angenommen
werden kann.
Dennoch ist diese Art der Verteilung nicht passend, um Verschleißerscheinungen,
Korrosion oder Materialermüdung abzubilden. Diese Ausfallursachen lassen sich
mit anderen Formen besser beschreiben.
3.2.2
Weibullverteilung
Die Weibull-Verteilung als Überlebenswahrscheinlichkeit kann auch als
«Verallgemeinerung der Exponentialverteilung» (Birolini, 1997) betrachtet
werden. Mit den Werten
1
b
und
1/
T
ist sie eine Exponentialverteilung.
Diese Art der Verteilung wurde bereits 1951 von W. Weibull im Zusammenhang
mit der Untersuchung von Alterungserscheinungen an Metallen für sinnvoll
erachtet (Weibull, 1951). Für Werte b>1 ergeben sich steigende Ausfallraten, die
auf Materialermüdung oder Verschleiß zurückgeführt werden können. Fallende
Ausfallraten werden hervorgerufen für Werte b<1. Diese Konstellation ermöglicht
die mathematische Beschreibung von sog. «Frühausfällen», deren Ursache häufig
mangelnde Fertigungs- und Montagequalität sind.
Somit lassen sich empirische Untersuchungen über den Verlauf der Ausfallrate bei
Produkten nachbilden. Sie ergaben eine stark abfallende Ausfallrate am Anfang,
einem nahezu konstanten Mittelteil und einen leicht steigenden Verlauf am Ende
der Laufzeit. Diese Eigenschaft nahezu jeder Komponente und jedes Systems ist

Fraunhofer EMI
Diplomarbeit
27
auch unter dem Namen «Badewannenkurve» bekannt und als Überlagerung
mehrerer Ausfallursachen zu deuten, vgl. Abschnitt 4.1.1 (Meyna & Pauli, 2003).
Für Werte b>3 ähnelt der Verlauf der Weibullverteilung stark dem der
Normalverteilung. Für b>5 wird die Funktion allerdings rechtsschief.
Die Vorteile dieser Verteilung liegen vor allem in der zufriedenstellenden
Genauigkeit von Ausfallanalysen und Ausfallvorhersagen bei der Verwendung
sehr kleiner Datensätze (Abernethy, 1998). Zudem lassen sich durch den
Funktionsverlauf des Graphen sehr einfach einzelne Ausfallursachen deuten und
interpretieren (Nelson, 1967).
Meeker (1988), Kececioglu (1991) und Abernethy (1998) diskutierten den Nutzen
dieser Verteilung um Ausfallraten von Elektronenröhren, Kondensatoren aber
auch Kugellagern und auslaufenden Batterien zu modellieren. In (Harter & Moore,
1976) ist eine umfangreiche Referenzliste auf Arbeiten zu finden, die sich mit der
Anwendung der Weibull-Verteilung auf diverse technische Bauteile auseinander
gesetzt haben.
3.2.3
Normalverteilung
Die Normalverteilung darf streng genommen nicht zur Beschreibung der Verteil-
ungsdichte dienen. Schließlich erfüllt sie nicht die Voraussetzung
0
)
0
(
t
F
.
Allerdings kann der Rechenfehler mit der Bedingung
sehr klein und je nach
Anwendung vernachlässigt werden. So ist z.B.
(
0) 0,00006
F t
für
4
(Meyna & Pauli, 2003).
Charakteristisch für die Verteilung der Dichtefunktion der Normalverteilung ist die
symmetrische Glockenkurve mit dem Erwartungswert
( )
E t
und der Varianz
2
( )
Var t
. Die Normalverteilung spielt im zentralen Grenzwertsatz, dem Satz
von De Moivre-Laplace eine wichtige Rolle. Er besagt, dass «die Verteilungs-
funktion der Summe einer großen Anzahl statistisch unabhängiger Zufallsgrößen
unter relativ allgemeinen Bedingungen gegen eine Normalverteilung
konvergiert» (Birolini, 1991). Durch die Normalverteilung lassen sich also Ausfälle
darstellen, die rein zufällig ablaufen und deren Ausfalldichte symmetrisch verteilt
ist.
Nach Nelson (1990) ist die Normalverteilung auch geeignet, um physikalische
System- oder Komponenteneigenschaften wie Belastung, Festigkeit, Dehnung
und Stoßfestigkeit zu modellieren.
3.2.4
Log-Normal-Verteilung
Die Log-Normal-Verteilung erfüllt die Voraussetzung
(
0) 0
F t
. Sie verwendet
die gleichen Parametersymbole
und
, die aber, im Gegensatz zur
Normalverteilung, komplizierter zu interpretieren sind (Meyna & Pauli, 2003).
Diese Art der Verteilung ist geeignet zur Beschreibung von Reparaturzeiten, aber
auch als Verteilungsfunktion der Lebensdauer von Bauteilen im Falle zeitraffender
Zuverlässigkeitsprüfungen, sowie überall dort, «wo die Zusammenwirkung einer
großen Anzahl statistisch unabhängiger Zufallsgrößen sich multiplikativ auswirkt»
(Birolini, 1991).

Fraunhofer EMI
Diplomarbeit
28
Anders als die Weibullverteilung ist die Log-Normal-Verteilung weit verbreitet, um
eine stark ansteigende Ausfallrate zu Beginn und eine schwach abfallende
Ausfallrate im weiteren Verlauf abzubilden. Fehlerursachen wie
Materialermüdung von elektronischen Komponenten oder Isolatoren können
damit beschrieben werden (Kapur & Lamberson, 1977; Nelson, 1990; Yang,
2007). Aber auch Verteilungen von Rissen in Materialien können durch diese
Funktion dargestellt werden (Kao, 1965).
Ein Überblick über die existierende Literatur, die sich mit dem Thema der
parametrischen Darstellung von Fehlerursachen beinhaltet, zeigt deutlich die
häufige Verwendung der oben genannten Verteilungsarten. In (Birnbaum, 1969)
wird diese Tatsache kritisiert und neue Darstellungsweisen zur Beschreibung von
beispielsweise Ausfällen durch Bruch oder Riss vorgestellt. Auch in (Lawless, 1983)
wird die oft verwendete Exponential- oder Weibullverteilung als zu ungenau
bemängelt und stattdessen andere Verteilungen vorgestellt.

29
Fr
au
n
h
ofe
r E
M
I
Di
pl
om
ar
be
it
Verteilung
Verteilungsdichte
)
(t
f
Ausfallwahrscheinlichkeit
t
d
f
t
F
0
)
(
)
(
Ausfallrate
( )
( )
1
( )
f t
h t
F t
Exponential-
verteilung
t
e
t
f
)
(
t
e
t
F
1
)
(
)
(t
h
Weibull-
Verteilung
1
( )
b
b
t
T
b
t
f t
e
T
T
b
T
t
e
t
F
1
)
(
1
)
(
b
T
t
T
b
t
h
Abbildung 3.1.(a): Gängige Verteilungsfunktionen zur Zuverlässigkeitsanalyse (Birolini, 1997).
=2
=1
=3
=3
=2
=1
=1
=2
=3
b=5
b=3
b=1
b=0,7
b=0,5
b=5
b=3
b=1
b=0,7
b=0,5
b=5
b=3
b=1
b=0,7
b=0,5
mit T=1
=5
mit T=1
=5
mit T=1
=5
=0,5
=0,5
=0,5

Details

Seiten
Erscheinungsform
Originalausgabe
Jahr
2008
ISBN (eBook)
9783836626651
Dateigröße
3 MB
Sprache
Deutsch
Institution / Hochschule
Hochschule für angewandte Wissenschaften Kempten – Maschinenbau, Wirtschaftsingenieurwesen
Erscheinungsdatum
2014 (April)
Note
1,0
Schlagworte
reliability prediction ausfallrate telcordia prism fides
Zurück

Titel: Zuverlässigkeitsvorhersage für elektronische Komponenten unter mechanischer Belastung
Cookie-Einstellungen